OpenCores
URL https://opencores.org/ocsvn/ac97/ac97/trunk

Subversion Repositories ac97

[/] - Rev 21

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
21 Fix overrun and underrun interrupts bug

The overrun and underrun did not have any logic for
resetting their signals, this patch changes that so
that the interrupt signal is only on when the event
happens. The interrupt will be latched into
the interrupt status register anyway, so keeping it
high for (in worst case) one clock cycle is enough.
stekern 4775d 11h /
20 root 5572d 23h /
19 root 5629d 01h /
18 Added old uploaded documents to new repository. root 5629d 03h /
17 New directory structure. root 5629d 03h /
16 Fixed a bug in the IN-FIFO - 18 bit samples where not alligned correctly. rudi 7936d 21h /
15 Updated copyright header. rudi 7993d 08h /
14 Fixed a bug reported by Igor. Apparently this bug only shows up when
the WB clock is very low (2x bit_clk). Updated Copyright header.
rudi 7993d 08h /
13 Changed the datasheet and STATUS.txt rudi 7993d 08h /
12 - Added defines to select fifo depth between 4, 8 and 16 entries. rudi 8185d 11h /
11 - fixed spelling rudi 8191d 10h /
10 - Fixed the order of the thrash hold bits to match the spec.
- Many minor synthesis cleanup items ...
rudi 8191d 10h /
9 *** empty log message *** rudi 8211d 05h /
8 Simulation Makefile rudi 8211d 05h /
7 Added test bench for public release rudi 8211d 06h /
6 - Removed RTY_O output.
- Added Clock and Reset Inputs to documentation.
- Changed IO names to be more clear.
- Uniquifyed define names to be core specific.
rudi 8398d 06h /
5 Added Directory Tree Description to README file rudi 8401d 06h /
4 - Changed to new directory structure rudi 8405d 08h /
3 This commit was manufactured by cvs2svn to create tag 'start'. 8481d 12h /
2 Initial Checkin rudi 8481d 12h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.