OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] - Rev 39

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
39 Disable IRQs when handling exceptions skordal 3313d 22h /
38 Add "Hello World" test application skordal 3313d 23h /
37 Add macro to set the TOHOST register from C code skordal 3313d 23h /
36 Ensure correct read of CSR after stall skordal 3313d 23h /
35 Prevent jumping/branching when stalling skordal 3313d 23h /
34 Prevent flushing the pipeline if it is stalling skordal 3313d 23h /
33 Ensure correct read of CSR after stall skordal 3313d 23h /
32 Prevent jumping/branching when stalling skordal 3316d 20h /
31 Prevent flushing the pipeline if it is stalling skordal 3316d 21h /
30 Add testcase for a combination of instructions that fail when using cache skordal 3319d 02h /
29 Add reset functionality for the WB arbiter state machine skordal 3321d 21h /
28 Add rudimentary User's manual skordal 3327d 20h /
27 Prevent exceptions from being taken while stalling skordal 3327d 22h /
26 Prevent exceptions from being taken while stalling

Jumping to an exception handler while stalling and waiting for a load/store
instruction to finish can cause undefined results from the load/store
instruction. This actually fixes the issue mentioned in revision r20.
skordal 3328d 01h /
25 Add placeholder cache modules and a wishbone arbiter skordal 3330d 06h /
24 Remove unused STRINGIFY macros skordal 3330d 19h /
23 Create branch to use for implementing a cache skordal 3330d 19h /
22 Fix the potato_get_badvaddr() macro skordal 3330d 19h /
21 Upgrade the example design to use a 60 MHz system clock skordal 3330d 20h /
20 Fix SHA256 benchmark crash by storing all registers on exception handler entry

This problem will disappear when the processor is updated to conform to the
new supervisor specification, which will allow us to use a compiler that
conforms to the new "official" ABI.
skordal 3330d 20h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.