OpenCores
URL https://opencores.org/ocsvn/hpc-16/hpc-16/trunk

Subversion Repositories hpc-16

[/] [.] - Rev 15

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
15 New directory structure. root 5605d 15h /.
14 just change the binding of con1, for further testing of "rtlfast" umairsiddiqui 6458d 05h /.
13 This commit was manufactured by cvs2svn to create tag 'hpc16_20061024'. 6473d 04h /.
12 speed patch umairsiddiqui 6473d 04h /.
11 interrupt related minor issue umairsiddiqui 6473d 15h /.
10 interrupt related minor issue umairsiddiqui 6473d 22h /.
9 fix typos umairsiddiqui 6474d 00h /.
8 added generic arith unit for ALU, fixed control unit -- STB_O is now one-shot (need to update waveforms in doc). umairsiddiqui 6621d 19h /.
7 testbenches for nontri ver umairsiddiqui 6756d 04h /.
6 refactered fcmp, nontri version files added umairsiddiqui 6756d 05h /.
5 added new testbench umairsiddiqui 6862d 17h /.
4 correction 14-sep-2005 umairsiddiqui 6878d 11h /.
3 This commit was manufactured by cvs2svn to create tag 'release1'. 6887d 16h /.
2 hpc-16 first release umairsiddiqui 6887d 16h /.
1 Standard project directories initialized by cvs2svn. 6887d 16h /.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.