OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [SRC/] [mini_uP_x16.vhd] - Rev 7

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
7 * Corrected bus_access_x16 (tristate): now it synthetises correctly
* Added code for the bus_register_x16 (tristate 16 bit register)
leoel 5318d 14h /distributed_intelligence/trunk/SRC/mini_uP_x16.vhd
6 Wired of the processor finished for the moment leoel 5318d 14h /distributed_intelligence/trunk/SRC/mini_uP_x16.vhd
5 * Removed an old unused file
* Corrected syntax errors in the code, but the mini_uP_x16 is not completely wired yet
* Commented the libraries
leoel 5318d 14h /distributed_intelligence/trunk/SRC/mini_uP_x16.vhd
4 Reorganized the directories leoel 5318d 15h /distributed_intelligence/trunk/SRC/mini_uP_x16.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.