OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [src/] [dsp/] [common/] [dspchrlo.init] - Rev 215

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
215 organizing hardware hellwig 3579d 06h /eco32/trunk/fpga/mc/src/dsp/common/dspchrlo.init
123 hardware: dsp now equivalent to port-15 hellwig 3764d 16h /eco32/trunk/fpga/mc/src/dsp/common/dspchrlo.init

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.