OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [3.0] - Rev 180

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
180 Fixed dependeent directories from hibi_wrapper_r3.3.0.xml lanttu 4089d 02h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0
174 Set priority generics values for hibi_wrappers in hibi segment designs lanttu 4154d 06h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0
163 added n_agents_g lanttu 4195d 00h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0
162 hibi_orbus_6p bug fix (added port 4 and port 5) lanttu 4195d 00h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0
158 fixed bugs in hibi_segment_6p lanttu 4211d 03h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0
155 6 port hibi_segment added lanttu 4240d 01h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0
151 - Basic tester example fixed.
- Added default values to HIBI segment
lanttu 4291d 21h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0
149 HIBI fixed with port default values. lanttu 4291d 22h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0
147 Updated Nios and ublaze cpu component vendors from TUT to Altera and Xilinx.
Updated all designs usign these cpu components.
lanttu 4373d 00h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0
145 Funbase IP library refactored to be compatible with Kactus2 version 2.0 lanttu 4431d 21h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.