OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-fw/] [firmware/] [src/] [usb_tmc.c] - Rev 28

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
28 switched to work on the v1 production GECKO3main. the timing is a big issue
with the prototype system. the cabeling and the possibly bad influence of the
prototype board layout makes it impossible to run this core in sync with the
host transfers.

out transfer is fully working. always in sync with the transfers and reads all
tmc headers correctly.

abort handling in the core works as well. there were some fixes needed in the
firmware, not all IN FIFO buffer where flushed.

a lot of work was done for the IN transfer (fpga to pc). the in transfer
handling in GECKO3COM_simple_test.vhd is finished.

the GECKO3COM_simple_datapath/fsm is finished.

there is still an issue left but I think the problem is in the gpif_com
module. for long IN transfers, we still not receive the correct number of bytes.

it works great for all sort of short transfers.


Here I present a time measurement to show the achieved message throughput:
(Response message was 1 byte, total with header and align bytes was 16 byte)

time for i in {0..100000}; do cat /dev/usbtmc1 > /dev/null; done

real 5m45.706s
user 0m27.498s
sys 4m52.676s

This shows that we can read data from the fpga with a rate up to 290 Hz
nussgipfel 5218d 07h /gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/src/usb_tmc.c
16 release candidate 3: 0.4rc3

fixed a bug in the get_capabilities function. was fixed before but it was back again...

removed one file from the build process, that shouldn't be in the subversion.
nussgipfel 5274d 12h /gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/src/usb_tmc.c
13 included the gecko3com_0.31.tar.gz firmware package needed to initialize fresh produced boards without any
serial number or fpga type information.

fixed two bugs that were found during testing of the version 0.4-rc
- the GET_CAPABILITIES function in the usb_tmc module was using a wrong pointer and returned garbage
- the SLOT_ADRESS defines for the spi write functions were using constants only usable on the GECKO3main prototype

changed some other small stuff to avoid compiler warnings or clarifie some comments.
nussgipfel 5287d 14h /gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/src/usb_tmc.c
9 First commit to the OC svn.

This is the release candidate for the GECKO3COM firmware version 0.4.

Included are all sources, scripts and helper tools.

Implemented functionality to this firmware version:
- FW upgrade through USB DFU class
- works as a USB TMC device (test and measurement class)
- handles all mandatory IEEE488 and SCPI commands
- fpga can be configured through USB
- two different fpga configuration files can be downloaded the the onboard memory
- loads one of these configuration files during power on

next step is to implement the transparent data communication between the USB host and the FPGA
nussgipfel 5319d 12h /gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/src/usb_tmc.c

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.