OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_test.vhd] - Rev 26

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
26 basic in/out transfers working. tester consumes data and generates the test messages.
protocol handling is working. at the moment it gets out of sync for long data outs.
for in transfers, to less data is sent to the host, deadlock for long in transfers.
nussgipfel 5224d 01h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.vhd
25 small update in the comments nussgipfel 5229d 07h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.vhd
24 first version of the GECKO3COM_simple_test that successfully synthesized.
debugging starts now.

fixed a small bug in the gpif_com_test due to the adding of the gpif_com_eom signal and the eom bit
flip-flop in the gpif_com module.
nussgipfel 5229d 07h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.vhd
23 GECKO3COM_simple_test designed and written.
added needed switches to the ucf files.

did some cleanup in the GECKO3_simple_*
nussgipfel 5231d 03h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.vhd
14 reorganising and renaming the stuff in these project.

the core will get the name "GECKO3COM_" followed by the type "simple", "plb" or "opb"
to follow the naming in the GECKO3 wiki and to show the IP core interface.

duplicated fifo corecenerator files are merged together including a wrapper to easily supress synthesizer warnings
from unavailable, unused and unconnected pins.

the project is now organised in a way how the IP core and it's parts are beeing used. this means that the
low-level gpif access module is instantiated by the higher level modules and not the other way around.
this will make more sense when more parts of this IP core are finished (planning is finished, they have
to be implemented and tested now).
nussgipfel 5287d 11h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_loopback.vhd
12 this is the version 0.4 of the GECKO3com IP core.

This is the last version from Andreas Habegger, the maintainer for this core is now Christoph Zimmermann
nussgipfel 5302d 14h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/USB_TMC_IP_loopback.vhd
11 initial add of the version 0.3 of the GECKO3com IP core. originaly developped by Andreas Habegger.

this commit is just for backup and to make the project progress visible because version 0.4 is also ready and will be commited in the next step.
nussgipfel 5302d 14h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/USB_TMC_IP_loopback.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.