OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3] - Rev 19

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
19 found a pinning error in the series production documentation. fixed in the wiki and the core.

really stable host to fpga data transfer achieved. several time over 4Gbyte transfered. including switching to fpga to
host GPIF waveform to listen to possible fpga transfer tries. but fpga to host transfers still not finished.

the correct length of data is read from the gpif_fsm now. still untested data consitency when data flow throtling occours.
need bigger buffers to test this. will be done on a higher level.
nussgipfel 5282d 08h /gecko3
18 I achieved now stable OUT transfers (from the PC to the FPGA) with working throtling (when the FPGA consumes data slower than the host delivers).
The basics needed for this are implemented in the FPGA like handshaking with the FX2 and clock domain transistion from the Interface clock to the user defined system clock.

in the gpif_com_test.vhd is a message rom, containing a prepared answer message to generate an IN transfer. this is needed for the next step.
nussgipfel 5285d 17h /gecko3
17 killed a bug that caused unreliable out transfers. detection of a ongoing in transfer is malfunctioning. needs redesign. nussgipfel 5287d 07h /gecko3
16 release candidate 3: 0.4rc3

fixed a bug in the get_capabilities function. was fixed before but it was back again...

removed one file from the build process, that shouldn't be in the subversion.
nussgipfel 5300d 12h /gecko3
15 this is the release of version 0.4rc2

we provide now the downloadable *.iic file of the current version in the repository at the convinient place
"gecko3com-fw/firmware/"
nussgipfel 5313d 13h /gecko3
14 reorganising and renaming the stuff in these project.

the core will get the name "GECKO3COM_" followed by the type "simple", "plb" or "opb"
to follow the naming in the GECKO3 wiki and to show the IP core interface.

duplicated fifo corecenerator files are merged together including a wrapper to easily supress synthesizer warnings
from unavailable, unused and unconnected pins.

the project is now organised in a way how the IP core and it's parts are beeing used. this means that the
low-level gpif access module is instantiated by the higher level modules and not the other way around.
this will make more sense when more parts of this IP core are finished (planning is finished, they have
to be implemented and tested now).
nussgipfel 5313d 13h /gecko3
13 included the gecko3com_0.31.tar.gz firmware package needed to initialize fresh produced boards without any
serial number or fpga type information.

fixed two bugs that were found during testing of the version 0.4-rc
- the GET_CAPABILITIES function in the usb_tmc module was using a wrong pointer and returned garbage
- the SLOT_ADRESS defines for the spi write functions were using constants only usable on the GECKO3main prototype

changed some other small stuff to avoid compiler warnings or clarifie some comments.
nussgipfel 5313d 15h /gecko3
12 this is the version 0.4 of the GECKO3com IP core.

This is the last version from Andreas Habegger, the maintainer for this core is now Christoph Zimmermann
nussgipfel 5328d 16h /gecko3
11 initial add of the version 0.3 of the GECKO3com IP core. originaly developped by Andreas Habegger.

this commit is just for backup and to make the project progress visible because version 0.4 is also ready and will be commited in the next step.
nussgipfel 5328d 16h /gecko3
10 this import did go wrong. look at GECKO3COM/ nussgipfel 5345d 13h /gecko3
9 First commit to the OC svn.

This is the release candidate for the GECKO3COM firmware version 0.4.

Included are all sources, scripts and helper tools.

Implemented functionality to this firmware version:
- FW upgrade through USB DFU class
- works as a USB TMC device (test and measurement class)
- handles all mandatory IEEE488 and SCPI commands
- fpga can be configured through USB
- two different fpga configuration files can be downloaded the the onboard memory
- loads one of these configuration files during power on

next step is to implement the transparent data communication between the USB host and the FPGA
nussgipfel 5345d 13h /gecko3
8 First commit to the OC svn.

This is the release candidate for the GECKO3COM firmware version 0.4.

Included are all sources, scripts and helper tools.

Implemented functionality to this firmware version:
- FW upgrade through USB DFU class
- works as a USB TMC device (test and measurement class)
- handles all mandatory IEEE488 and SCPI commands
- fpga can be configured through USB
- two different fpga configuration files can be downloaded the the onboard memory
- loads one of these configuration files during power on

next step is to implement the transparent data communication between the USB host and the FPGA
nussgipfel 5345d 13h /gecko3
7 Added old uploaded documents to new repository. root 5596d 14h /gecko3
6 Added old uploaded documents to new repository. root 5597d 05h /gecko3
5 New directory structure. root 5597d 05h /gecko3

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.