OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [src/] [opcodes/] [makefile] - Rev 229

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
229 Code samples updated to use new VHDL config packages and new SoC (UART). ja_rd 4410d 10h /ion/trunk/src/opcodes/makefile
193 Major test bench reorganization:
1.- TB now uses same object code as synthesizable demo.
2.- TB now simulates full MPU system.
3.- Console logging moved to TB package.
4.- Code sample makefiles and modelsim script updated accordingly.
ja_rd 4736d 19h /ion/trunk/src/opcodes/makefile
189 fixed opcode test makefile: adapted to new common makefile ja_rd 4749d 03h /ion/trunk/src/opcodes/makefile
165 Added (very early draft, very incomplete) reserved opcode trap handler.
Updated opcode tester to test some emulated mips32 opcodes using the trap handler.
ja_rd 4786d 09h /ion/trunk/src/opcodes/makefile
148 Added optional cache support to 'opcodes' test.
Updated simulation length accordingly.
ja_rd 4792d 19h /ion/trunk/src/opcodes/makefile
110 Updated 'opcodes' code sample:
- Longer simulated time for compatibility to new cache
ja_rd 4853d 09h /ion/trunk/src/opcodes/makefile
90 Added 'Adventure' demo to be run from the DE-1 FLASH ja_rd 4897d 05h /ion/trunk/src/opcodes/makefile
66 Code samples:
Updated all code samples to use TB2 template and new memory map
ja_rd 4907d 19h /ion/trunk/src/opcodes/makefile
34 default data address moved to 0x80000000
makefiles and readme files updated accordingly
ja_rd 4914d 04h /ion/trunk/src/opcodes/makefile
24 changes in simulation test benches:
Simulation length now configurable from the python script
Console output logged to file, not to modelsim's window
ja_rd 4916d 08h /ion/trunk/src/opcodes/makefile
2 First commit (includes 'hello' demo) ja_rd 4919d 08h /ion/trunk/src/opcodes/makefile

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.