OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [demo/] [c2sb_demo.vhdl] - Rev 136

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
136 Added debug output to synthesizable MPU template, and connected debug signals to LEDs ja_rd 4778d 04h /ion/trunk/vhdl/demo/c2sb_demo.vhdl
116 Updated demo 'top' file for DE-1 board
- Added reset button debouncing
- Added template for using different clock input
- Uses clock rate generic
ja_rd 4836d 05h /ion/trunk/vhdl/demo/c2sb_demo.vhdl
75 Added support for 8-bit-wide static memory (e.g. Flash)
Updated demo 'top' file to use the DE-1 onboard flash
ja_rd 4890d 03h /ion/trunk/vhdl/demo/c2sb_demo.vhdl
63 DE-1 demo top module:
added registers for SD interface, switches and 7-seg display
ja_rd 4890d 19h /ion/trunk/vhdl/demo/c2sb_demo.vhdl
59 cleaned up top vhdl module of demo
moved reset sync ff chain to top module
updated pre-generated demo file
ja_rd 4892d 09h /ion/trunk/vhdl/demo/c2sb_demo.vhdl
46 First version of cache: stub, 1-word cache
Stub cache tested on simulation and HW, just a stub
Adapted CPU stall logic to 1st version of cache
Adapted all other modules for compatibility with cache
ja_rd 4893d 01h /ion/trunk/vhdl/demo/c2sb_demo.vhdl
2 First commit (includes 'hello' demo) ja_rd 4902d 08h /ion/trunk/vhdl/demo/c2sb_demo.vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.