OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [mips_cache_stub.vhdl] - Rev 46

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
46 First version of cache: stub, 1-word cache
Stub cache tested on simulation and HW, just a stub
Adapted CPU stall logic to 1st version of cache
Adapted all other modules for compatibility with cache
ja_rd 4894d 07h /ion/trunk/vhdl/mips_cache_stub.vhdl
43 added comments to dummy 'cache' stub ja_rd 4896d 15h /ion/trunk/vhdl/mips_cache_stub.vhdl
42 Added cache stub module, plus related test bench ja_rd 4898d 10h /ion/trunk/vhdl/mips_cache_stub.vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.