OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion] - Rev 51

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
51 Adapted simulation and synth templates for cache module ja_rd 4894d 07h /ion
50 New code sample: memtest
Tests external RAM
ja_rd 4894d 07h /ion
49 'hello' demo: updated to use new cache module
No longer uses temporary hacks or custom linker script
ja_rd 4894d 07h /ion
48 Temporary fix to memory decoding constants ja_rd 4894d 07h /ion
47 Pre-generated simulation test benches updated ja_rd 4894d 07h /ion
46 First version of cache: stub, 1-word cache
Stub cache tested on simulation and HW, just a stub
Adapted CPU stall logic to 1st version of cache
Adapted all other modules for compatibility with cache
ja_rd 4894d 07h /ion
45 Fixed some typos in the main doc ja_rd 4896d 03h /ion
44 slite: cleaned up memory allocation/deallocation code ja_rd 4896d 11h /ion
43 added comments to dummy 'cache' stub ja_rd 4896d 15h /ion
42 Added cache stub module, plus related test bench ja_rd 4898d 10h /ion
41 Updated main project doc ja_rd 4898d 10h /ion
40 pre-generated 'hello' demo updated ja_rd 4898d 10h /ion
39 Updated main project doc ja_rd 4898d 10h /ion
38 Minor changes in header comments ja_rd 4898d 11h /ion
37 functions added to package for standard address decoding ja_rd 4898d 11h /ion
36 pre-generated simulation test bench TB1 updated
for compatibility to other changes
ja_rd 4898d 11h /ion
35 CPU mem_wait logic updated to work with cache ja_rd 4898d 11h /ion
34 default data address moved to 0x80000000
makefiles and readme files updated accordingly
ja_rd 4898d 11h /ion
33 bin2hdl now can initialize 16-bit wide memories ja_rd 4898d 11h /ion
32 slite: catch 1-instruction endless loops
now can run unattended; will stop at the end of main()
ja_rd 4898d 12h /ion

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.