OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [FiDiAnalyzer.v] - Rev 18

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
18 A bunch of synthesis error/warning removed.
Master and analyzer synthesis OK on Spartan6 FPGA
acapola 4838d 22h /iso7816_3_master/trunk/test/FiDiAnalyzer.v
12 pps sequence added to test bench
endOfTx added to TxCore
acapola 4875d 19h /iso7816_3_master/trunk/test/FiDiAnalyzer.v
11 added BSD licence header to files acapola 4875d 23h /iso7816_3_master/trunk/test/FiDiAnalyzer.v
10 communication direction probe added acapola 4876d 01h /iso7816_3_master/trunk/test/FiDiAnalyzer.v
6 analyzer added to test bench, not functional yet... acapola 4885d 19h /iso7816_3_master/trunk/test/FiDiAnalyzer.v
5 draft of t=0 protocol analyzer (to ease debuging, and eventually as an ip itself) acapola 4886d 19h /iso7816_3_master/trunk/test/FiDiAnalyzer.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.