OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [powerGrid.vhd] - Rev 69

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
69 Sqrt Error : around 6 %.
Great!
jguarin2002 5358d 13h /jart/branches/ver0branch/powerGrid.vhd
67 mistypings... jguarin2002 5364d 22h /jart/branches/ver0branch/powerGrid.vhd
66 mistypings... jguarin2002 5364d 22h /jart/branches/ver0branch/powerGrid.vhd
64 Sphere Register Block now supported.

The sphere register block has 6 modes of operation:

1. OP1, SZALFA: In this mode we summon out from the register block ONE sphere tuple: Position X,Y,Z and sphere K, per enabled clock cycle. Size of the register allows 4096
spheres.

2. OP1, SZBETA: Same as the above but the allowed spheres are 2048.

3. OP2, SZALFA: In this mode we summon out from the register block TWO spheres tuples: Position X,Y,Z and sphere K, per enabled clock cycle. Size of the register allows 4096
spheres.

4. OP2, SZBETA: Same as the above but the allowed spheres are 2048.

5. OP4, SZALFA: In this mode we summon out from the register block FOUR sphere tuples: Position X,Y,Z and sphere K, per enabled clock cycle. Size of the register allows 4096
spheres.

6. OP4, SZBETA: Same as the above but the allowed spheres are 2048.
jguarin2002 5364d 23h /jart/branches/ver0branch/powerGrid.vhd
61 Code corrections and few port additions. jguarin2002 5366d 02h /jart/branches/ver0branch/powerGrid.vhd
58 Add the scanff component declaration. jguarin2002 5367d 22h /jart/branches/ver0branch/powerGrid.vhd
54 The package collection. jguarin2002 5367d 23h /jart/branches/ver0branch/powerGrid.vhd
40 The song remains the same jguarin2002 5368d 00h /jart/trunk/BLRT/powerGrid.vhd
33 - Wrote some comments.
- The Power Grid Package is finished!. Though... it should be called Power Cube Package.
jguarin2002 5372d 15h /jart/trunk/BLRT/powerGrid.vhd
29 kComparison signal control added. jguarin2002 5378d 11h /jart/trunk/BLRT/powerGrid.vhd
24 Typos...... jguarin2002 5378d 14h /jart/trunk/BLRT/powerGrid.vhd
22 Package file declarations :

Declared component for arithmetic functions.
jguarin2002 5378d 16h /jart/trunk/BLRT/powerGrid.vhd
16 Intersection Test Package file. jguarin2002 5391d 21h /jart/trunk/BLRT/powerGrid.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.