OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [source/] [DCT2D.VHD] - Rev 18

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
18 Minor fixes. This release is FPGA proven. mikel262 6630d 02h /mdct/trunk/source/DCT2D.VHD
15 Redesigned. Fully pipelined, always ready for data design mikel262 6652d 00h /mdct/trunk/source/DCT2D.VHD
13 performance improved by 8%, latency reduced to 94 cycles mikel262 6655d 23h /mdct/trunk/source/DCT2D.VHD
7 documentation update. minor area optimization. mikel262 6660d 22h /mdct/trunk/source/DCT2D.VHD
4 area optimization - 100 slices less mikel262 6662d 00h /mdct/trunk/source/DCT2D.VHD
2 Initial import (Beta Release) mikel262 6662d 12h /mdct/trunk/source/DCT2D.VHD

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.