OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [quantizer/] [QUANTIZER.vhd] - Rev 61

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
61 Design now uses 4:2:2 chroma subsampling mikel262 5292d 07h /mkjpeg/trunk/design/quantizer/QUANTIZER.vhd
32 mikel262 5539d 09h /mkjpeg/trunk/design/quantizer/QUANTIZER.vhd
25 moved from branch to trunk mikel262 5545d 19h /mkjpeg/trunk/design/quantizer/QUANTIZER.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.