OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [alu.vhd] - Rev 112

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
112 Merged Matthias Grunewald's changes to use tri-state for smaller Xilinx FPGA. rhoads 7506d 11h /mlite/trunk/vhdl/alu.vhd
81 Removed unused case statements rhoads 8031d 08h /mlite/trunk/vhdl/alu.vhd
47 Altera rhoads 8065d 10h /mlite/trunk/vhdl/alu.vhd
43 Renamed M-lite to Plasma rhoads 8145d 11h /mlite/trunk/vhdl/alu.vhd
39 Changed name to M-lite to avoid trademark issues. rhoads 8177d 16h /mlite/trunk/vhdl/alu.vhd
7 Made writes 4 cycles, improved mem_ctrl.vhd rhoads 8219d 17h /mlite/trunk/vhdl/alu.vhd
2 MIPS-lite CPU core rhoads 8442d 15h /mlite/trunk/vhdl/alu.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.