OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_pkg.vhd] - Rev 33

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
22 Added test signals (will be removed) budinero 5489d 19h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
19 Created witdth extension (8 epp data witdh to 16 bits) budinero 5572d 09h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
16 New directory structure. root 5608d 09h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
15 Final EPP module. budinero 5649d 17h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
14 Added testing modules budinero 5654d 11h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
11 *** empty log message *** budinero 5686d 17h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.