OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [branches/] [stable_0_1_x/] [or1ksim/] [cpu/] [or1k/] [arch.h] - Rev 1765

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
1765 root 5637d 12h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
1356 This commit was manufactured by cvs2svn to create branch 'stable_0_1_x'. 7126d 01h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
1350 Mark a simulated cpu address as such, by introducing the new oraddr_t type nogj 7127d 17h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
1316 added a warning phoenix 7262d 10h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
1314 in some cases (cbasic test from orp for example) this caused problems, disable for now phoenix 7262d 10h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
1263 simprintf now uses stack vargs -- same as printf markom 7448d 02h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
1086 STACK_ARGS is getting obsolete and is only needed by simprintf, which needs it to be 0. lampret 7934d 10h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
167 - SPR values corrected
- testbenches now work
- lot of optimizations, use --disable-debugmod for optimal performance
- some tick timer bugs fixed
markom 8408d 21h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
138 - on the fly insn decoding
- removed asm input file support
- removed string from execution
- speedup of loading
markom 8456d 02h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
133 moved header files to match other utilities
repaired l.sra and some other shifting instructions
started build_automata for binary instruction decode
markom 8459d 00h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h
23 Common OR1K backend for OR32 and OR16. lampret 8868d 07h /or1k/branches/stable_0_1_x/or1ksim/cpu/or1k/arch.h

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.