OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_38] - Rev 925

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
925 new BB joining type; BBID_END added; virtex.tim sample cuc timings markom 8019d 20h /or1k/tags/nog_patch_38
924 bb joining, basic block triggers bugs fixed; more verilog generation of arbiter markom 8020d 03h /or1k/tags/nog_patch_38
923 basic dos/fat service release rherveille 8020d 19h /or1k/tags/nog_patch_38
922 basic dos service rherveille 8020d 19h /or1k/tags/nog_patch_38
921 atabug stable release rherveille 8020d 19h /or1k/tags/nog_patch_38
920 *** empty log message *** rherveille 8020d 19h /or1k/tags/nog_patch_38
919 stable release rherveille 8020d 19h /or1k/tags/nog_patch_38
918 sa command bug fixed markom 8021d 02h /or1k/tags/nog_patch_38
917 optimize cmovs bug fixed markom 8021d 02h /or1k/tags/nog_patch_38
916 MAC now follows software convention (signed multiply instead of unsigned). lampret 8021d 12h /or1k/tags/nog_patch_38
915 cuc main verilog file generation markom 8021d 23h /or1k/tags/nog_patch_38
914 SR[FO] is always set to 1. lampret 8022d 04h /or1k/tags/nog_patch_38
913 Executed log insns counter output in decimal instead of hex. lampret 8022d 04h /or1k/tags/nog_patch_38
912 Reset SR (and ESR) have TEE set to zero (no tick timer). lampret 8022d 05h /or1k/tags/nog_patch_38
911 Added instruction count to hardware executed log lampret 8022d 05h /or1k/tags/nog_patch_38
910 No arith and overflow flags by default. lampret 8022d 05h /or1k/tags/nog_patch_38
909 Bug fix. lampret 8023d 16h /or1k/tags/nog_patch_38
908 busy signal added markom 8028d 00h /or1k/tags/nog_patch_38
907 function calling generation; not tested yet markom 8028d 00h /or1k/tags/nog_patch_38
906 function dependency analysis added markom 8028d 03h /or1k/tags/nog_patch_38

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.