OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_47/] [or1ksim/] [testbench/] [Makefile.in] - Rev 1264

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
1264 CCAS added to configure.in, CCASCOMPILE changed in Makefile.in jurem 7405d 09h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
1249 Downgrading back to automake-1.4 lampret 7455d 00h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
970 Testbench is now running on ORP architecture platform. simons 7994d 01h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
889 Modified Ethernet model. ivang 8028d 05h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
802 Cache and tick timer tests fixed. simons 8126d 14h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
664 very simple PS/2 keyboard model with associated test added markom 8178d 12h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
645 simple frame buffer peripheral with test added markom 8185d 14h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
621 Cache test works on hardware. simons 8193d 13h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
615 cmov and extxx instructions; add, addi, and, andi now set flag markom 8196d 08h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
604 mul test repaired - signed multiplication; obsolete pic test removed; make check pass markom 8200d 12h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
576 some risc test added markom 8206d 09h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
574 fixed some tests to work markom 8206d 13h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
538 memory width increased to 32bit; new memory test mem_test added - simple big endian test markom 8213d 13h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
516 except test files renamed markom 8217d 12h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
493 --enable-opt switch added to testbench configure markom 8231d 13h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
485 gdb.h moved to debug dir; except.ld renamed to default.ld markom 8232d 16h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
478 Started adding acv_gpio testbench erez 8233d 09h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
460 excpt test removed except test added. simons 8235d 00h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
451 each test should define its own LDFLAGS markom 8238d 11h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in
424 memory configuration file joined into .cfg file; *mem.cfg are obsolete; read-only and write-only memory is supported; memory logging is not yet supported; update of testbench - only cache test fails, since it writes to RO memory markom 8241d 13h /or1k/tags/nog_patch_47/or1ksim/testbench/Makefile.in

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.