OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_52] - Rev 937

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
937 added file; cleanup markom 8011d 13h /or1k/tags/nog_patch_52
936 simple conditional facts generation tested markom 8012d 08h /or1k/tags/nog_patch_52
935 Defined sections, fixed boot sequence. ivang 8012d 20h /or1k/tags/nog_patch_52
934 conditional facts generation markom 8013d 07h /or1k/tags/nog_patch_52
933 adding fact generation from conditionals; still under development markom 8013d 10h /or1k/tags/nog_patch_52
932 adv. dead code elimination; few optimizations markom 8013d 11h /or1k/tags/nog_patch_52
931 more CMOV optimizations; some bugs fixed; more complex optimization structure markom 8014d 05h /or1k/tags/nog_patch_52
930 more CMOV optimizations; cse tested markom 8014d 06h /or1k/tags/nog_patch_52
929 add - sfxx optimization markom 8017d 09h /or1k/tags/nog_patch_52
928 sfor instruction replaced by conditional cmov markom 8017d 09h /or1k/tags/nog_patch_52
927 problems with LRBB removal solved markom 8017d 10h /or1k/tags/nog_patch_52
926 regs and loads do not use rst - can yield less logic markom 8018d 04h /or1k/tags/nog_patch_52
925 new BB joining type; BBID_END added; virtex.tim sample cuc timings markom 8018d 04h /or1k/tags/nog_patch_52
924 bb joining, basic block triggers bugs fixed; more verilog generation of arbiter markom 8018d 11h /or1k/tags/nog_patch_52
923 basic dos/fat service release rherveille 8019d 02h /or1k/tags/nog_patch_52
922 basic dos service rherveille 8019d 02h /or1k/tags/nog_patch_52
921 atabug stable release rherveille 8019d 02h /or1k/tags/nog_patch_52
920 *** empty log message *** rherveille 8019d 03h /or1k/tags/nog_patch_52
919 stable release rherveille 8019d 03h /or1k/tags/nog_patch_52
918 sa command bug fixed markom 8019d 09h /or1k/tags/nog_patch_52

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.