OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_58] - Rev 925

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
925 new BB joining type; BBID_END added; virtex.tim sample cuc timings markom 8048d 01h /or1k/tags/nog_patch_58
924 bb joining, basic block triggers bugs fixed; more verilog generation of arbiter markom 8048d 08h /or1k/tags/nog_patch_58
923 basic dos/fat service release rherveille 8049d 00h /or1k/tags/nog_patch_58
922 basic dos service rherveille 8049d 00h /or1k/tags/nog_patch_58
921 atabug stable release rherveille 8049d 00h /or1k/tags/nog_patch_58
920 *** empty log message *** rherveille 8049d 00h /or1k/tags/nog_patch_58
919 stable release rherveille 8049d 00h /or1k/tags/nog_patch_58
918 sa command bug fixed markom 8049d 07h /or1k/tags/nog_patch_58
917 optimize cmovs bug fixed markom 8049d 07h /or1k/tags/nog_patch_58
916 MAC now follows software convention (signed multiply instead of unsigned). lampret 8049d 17h /or1k/tags/nog_patch_58
915 cuc main verilog file generation markom 8050d 04h /or1k/tags/nog_patch_58
914 SR[FO] is always set to 1. lampret 8050d 09h /or1k/tags/nog_patch_58
913 Executed log insns counter output in decimal instead of hex. lampret 8050d 09h /or1k/tags/nog_patch_58
912 Reset SR (and ESR) have TEE set to zero (no tick timer). lampret 8050d 10h /or1k/tags/nog_patch_58
911 Added instruction count to hardware executed log lampret 8050d 10h /or1k/tags/nog_patch_58
910 No arith and overflow flags by default. lampret 8050d 10h /or1k/tags/nog_patch_58
909 Bug fix. lampret 8051d 21h /or1k/tags/nog_patch_58
908 busy signal added markom 8056d 05h /or1k/tags/nog_patch_58
907 function calling generation; not tested yet markom 8056d 05h /or1k/tags/nog_patch_58
906 function dependency analysis added markom 8056d 08h /or1k/tags/nog_patch_58

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.