OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_63] - Rev 280

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
280 Some mp3 examples. simons 8287d 00h /or1k/tags/nog_patch_63
279 *** empty log message *** simons 8287d 00h /or1k/tags/nog_patch_63
278 *** empty log message *** simons 8287d 01h /or1k/tags/nog_patch_63
277 *** empty log message *** simons 8287d 01h /or1k/tags/nog_patch_63
276 Moved to different folder. simons 8287d 01h /or1k/tags/nog_patch_63
275 This are temporary files. simons 8287d 01h /or1k/tags/nog_patch_63
274 *** empty log message *** simons 8287d 01h /or1k/tags/nog_patch_63
273 This are temporary files. simons 8287d 01h /or1k/tags/nog_patch_63
272 Moved to separate folder. simons 8287d 02h /or1k/tags/nog_patch_63
271 Added missing endif lampret 8287d 05h /or1k/tags/nog_patch_63
270 some speedups, when debug module is disabled markom 8287d 12h /or1k/tags/nog_patch_63
269 added labels; corrected false if clause, preventing to fill iqueue markom 8287d 12h /or1k/tags/nog_patch_63
268 First import. lampret 8288d 00h /or1k/tags/nog_patch_63
267 First import. lampret 8288d 00h /or1k/tags/nog_patch_63
266 First import. lampret 8288d 00h /or1k/tags/nog_patch_63
265 Modified virtual silicon instantiations. lampret 8290d 01h /or1k/tags/nog_patch_63
264 updated cpu config section; added sim config section markom 8290d 06h /or1k/tags/nog_patch_63
263 configure for cpu; modified command line options markom 8290d 07h /or1k/tags/nog_patch_63
262 small bug in build_automata fixed; configure for memory markom 8290d 07h /or1k/tags/nog_patch_63
261 modified memory accesses; added cfg script; added pic test basic entry of vga; some extensions to mc markom 8290d 10h /or1k/tags/nog_patch_63

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.