OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable_0_2_0] - Rev 862

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
862 Support for compressed kernel image added. simons 8115d 15h /or1k/tags/stable_0_2_0
860 Added delayr and delayw variable initialization (default value 1) ivang 8118d 11h /or1k/tags/stable_0_2_0
859 camera support added to fb markom 8120d 02h /or1k/tags/stable_0_2_0
858 CRT/keyboard support works markom 8127d 02h /or1k/tags/stable_0_2_0
857 README added markom 8127d 03h /or1k/tags/stable_0_2_0
856 I/D MMU and I/D caches takes some cycles by default markom 8127d 23h /or1k/tags/stable_0_2_0
855 preliminary keyboard support; not tested markom 8128d 00h /or1k/tags/stable_0_2_0
854 Changed to support initial configuration. simons 8128d 10h /or1k/tags/stable_0_2_0
853 Initial revision. simons 8128d 10h /or1k/tags/stable_0_2_0
852 Now uclinux can be compiled with elf compiler. simons 8128d 10h /or1k/tags/stable_0_2_0
851 Initial revision. simons 8128d 11h /or1k/tags/stable_0_2_0
850 Missig header file. simons 8128d 20h /or1k/tags/stable_0_2_0
849 eth phy is now optional and disabled by default, use --enable-ethphy to enable it markom 8128d 21h /or1k/tags/stable_0_2_0
848 profiler and mprofiler commands added to interactive mode of or1ksim markom 8131d 23h /or1k/tags/stable_0_2_0
847 profiler now uses nicer parameters markom 8132d 00h /or1k/tags/stable_0_2_0
846 fb refresh updated markom 8132d 00h /or1k/tags/stable_0_2_0
845 refresh indicator/count added to frame buffer markom 8133d 01h /or1k/tags/stable_0_2_0
844 Fix. ivang 8138d 17h /or1k/tags/stable_0_2_0
843 Sample flash contents. lampret 8138d 22h /or1k/tags/stable_0_2_0
842 Tftp bug fixed. simons 8142d 18h /or1k/tags/stable_0_2_0

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.