OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [plasma.vhd] - Rev 346

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
346 Support optional 4KB cache rhoads 5753d 08h /plasma/trunk/vhdl/plasma.vhd
329 Fix interrupt line comment rhoads 5966d 02h /plasma/trunk/vhdl/plasma.vhd
286 Added eth_dma rhoads 6093d 01h /plasma/trunk/vhdl/plasma.vhd
264 Latch address and byte_we in mem_ctrl.vhd rhoads 6136d 11h /plasma/trunk/vhdl/plasma.vhd
186 Change memory_type to "XILINX_16X" rhoads 6457d 02h /plasma/trunk/vhdl/plasma.vhd
184 Fix comment rhoads 6472d 04h /plasma/trunk/vhdl/plasma.vhd
139 Major changes -- updated to Plasma Version 3 rhoads 6786d 01h /plasma/trunk/vhdl/plasma.vhd
105 better test mem_pause rhoads 7880d 00h /plasma/trunk/vhdl/plasma.vhd
55 Altera rhoads 8144d 05h /plasma/trunk/vhdl/plasma.vhd
48 Altera rhoads 8155d 00h /plasma/trunk/vhdl/plasma.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.