OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fadd32.vhd] - Rev 135

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
121 taking out std_logic_arith from sight.... no conversions allowed jguarin2002 4713d 07h /raytrac/branches/fp/fadd32.vhd
120 Beta 0 Adder LCELLS 373 jguarin2002 4719d 05h /raytrac/branches/fp/fadd32.vhd
119 382 LEs Adder, RTL viewer Check Ok jguarin2002 4719d 11h /raytrac/branches/fp/fadd32.vhd
118 fp beta version reached a 17,5% logic cell starting at 450 LEs and finishing in 371 LEs for fadd32 jguarin2002 4719d 18h /raytrac/branches/fp/fadd32.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.