OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fmul32.vhd] - Rev 118

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
118 fp beta version reached a 17,5% logic cell starting at 450 LEs and finishing in 371 LEs for fadd32 jguarin2002 4718d 03h /raytrac/branches/fp/fmul32.vhd
96 2 floating points operands multiplication done and optimized jguarin2002 4756d 01h /fmul32.vhd
94 Optimization on the functionality of the mantissa multiplier... the results are much more precise than last revision... jguarin2002 4757d 22h /fmul32.vhd
93 New Fpbranch Directory Distribution jguarin2002 4758d 08h /fmul32.vhd
89 floating point mult ready jguarin2002 4761d 03h /fmul32.vhd
86 For the sake of easyness, mmp is called now mul2 jguarin2002 4763d 05h /fmul32.vhd
82 FPBRANCH releaseeeesvn add fpbranch/get.vhd fpbranch/sm.vhd fpbranch/slr.vhd fpbranch/mmp.vhd svn add fpbranch/get.vhd fpbranch/sm.vhd fpbranch/slr.vhd fpbranch/mmp.vhd svn add fpbranch/get.vhd fpbranch/sm.vhd fpbranch/slr.vhd fpbranch/mmp.vhd jguarin2002 4766d 16h /fmul32.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.