OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Rev 151

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
151 Previous Work to generate test benching jguarin2002 4557d 10h /raytrac/branches/fp/memblock.vhd
150 First Beta of RayTrac for a total size of 3874 lcells. Great Result\! jguarin2002 4571d 07h /raytrac/branches/fp/memblock.vhd
147 Added Interruption Machine, supporting Result Queue Full and End Of Instruction event notifications. Memblock Adjustments. In the Data Path Control circuit an Interrupt pero Instruction type was decodified. jguarin2002 4573d 22h /raytrac/branches/fp/memblock.vhd
143 working on result queue sync decoding signals jguarin2002 4598d 02h /raytrac/branches/fp/memblock.vhd
141 Syncing: its awful work: input adresses decoded to include the instructions queue also.... jguarin2002 4670d 02h /raytrac/branches/fp/memblock.vhd
140 Syncing: its awful work..... jguarin2002 4670d 07h /raytrac/branches/fp/memblock.vhd
139 Sync jguarin2002 4681d 22h /raytrac/branches/fp/memblock.vhd
138 enabled ena on memblock and dpc, also changed the instruction and result memories to queued schemes jguarin2002 4686d 13h /raytrac/branches/fp/memblock.vhd
136 gogogo jguarin2002 4695d 00h /raytrac/branches/fp/memblock.vhd
133 Added the instructions queue jguarin2002 4702d 13h /raytrac/branches/fp/memblock.vhd
131 Post RTL check on memblock jguarin2002 4706d 14h /raytrac/branches/fp/memblock.vhd
130 RayTrac Internal Memory Blocks among operands registers and Intermediate Results Fifos jguarin2002 4707d 08h /raytrac/branches/fp/memblock.vhd
129 Memory Block:

Identified the four circuits: External Write, External Read, Internal Write, Internal Read.
jguarin2002 4712d 21h /raytrac/branches/fp/memblock.vhd
128 Memblock, for input registers and intermezzo results queues: normfifox26x96 & dpfifo9x64, dpc is done jguarin2002 4720d 00h /raytrac/branches/fp/memblock.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.