OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac] - Rev 27

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
27 Optimized code, using IEEE libraries and extra parameters to make a more legible code jguarin2002 4936d 09h /raytrac
26 Corrections on opcoder jguarin2002 4936d 13h /raytrac
25 Support to variable width and the possibility to choose between behavioral description and structural description jguarin2002 4936d 13h /raytrac
24 Added a more simple mux to opcoder implementation. jguarin2002 4943d 06h /raytrac
23 Doxygen documentation related changes..... jguarin2002 4943d 06h /raytrac
22 Doxygen Documentation related changes. jguarin2002 4943d 22h /raytrac
21 Doxygen documentation related changes..... jguarin2002 4944d 13h /raytrac
20 Docyyment jguarin2002 4947d 00h /raytrac
19 Doxygen documentation related changes..... jguarin2002 4947d 22h /raytrac
18 Doxygen documentation related changes jguarin2002 4947d 23h /raytrac
17 Lesser GPL license, the one suggested by opencores@ jguarin2002 4948d 11h /raytrac
16 Commiting differences related to Doxygen documentation adding jguarin2002 4948d 11h /raytrac
15 When selecting s0name, s1name, for a signal that belongs to a 2 stage pipe, the compiler would, based on the name, create just a single flipflop with Q feedbacking D, and that's no the case, so a lot of names has been changed, from s0signalname, s1signalname to stage0signalname, s1signalname and so on... jguarin2002 4950d 23h /raytrac
14 Lots of typos fixed...... jguarin2002 4952d 23h /raytrac
13 syntax typo fixed... jguarin2002 4953d 00h /raytrac
12 syntax typo fixed... jguarin2002 4953d 01h /raytrac
11 The Raytrac file is the top hierarchy entity, below are uf and opcoder jguarin2002 4958d 16h /raytrac
10 arithpack component declaration changed to make a more 'understandable' design, perhaps wont be that legible but at this stage, at least to me it is jguarin2002 4958d 16h /raytrac
9 dumped fastmux, did not need it at all (by now), therefore arithpack.vhd was modified, by deleting the fastmux component declaration jguarin2002 4958d 18h /raytrac
8 uf.vhd now is complete, got to assemble the whole uf.vhd and opcoder and the raytracing engine will be completed jguarin2002 4959d 00h /raytrac

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.