OpenCores
URL https://opencores.org/ocsvn/rio/rio/trunk

Subversion Repositories rio

[/] [rio/] [branches/] [2.0.0-development/] [rtl/] [vhdl/] [RioLogicalCommon.vhd] - Rev 46

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
46 Updating RioSwitch maintenance port to support all-sized maintenance packets.
The new maintenance port is easier to maintain and to add portWrite-support to.
Updating RioLogicalMaintenance to not contain the packet handlers.
Updating RioWbBridge to use the updated RioLogicalMaintenance interface.
Moving some component declarations to RioCommon.vhd.
magro732 3484d 11h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
45 Working RioWbBridge with 64-bit Wishbone interface.
Testcases for MAINTENANCE, NREAD, NWRITE/NWRITER.
magro732 3503d 06h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
44 Adding testcases for NREAD in TestRioWbBridge.
Correcting bugs in RioWbBridge and RioLogicalCommon.
magro732 3509d 02h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
41 Changing name branches/singelSymbol->branches/2.0.0-development magro732 3519d 11h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
39 branches/singleSymbol: Backup-checkin (not compiling) of new RioWbBridge with 64-bit Wishbone interface. magro732 3525d 22h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
38 RioLogicalCommon has working Maintenance-packet parsing.
TestRioLogicalCommon has test cases for all Maintenance request packets.
magro732 3546d 04h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
37 singleSymbol: Correcting compiler errors and misc minor errors. magro732 3554d 23h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
36 singleSymbol: Adding testbench for RioLogicalCommon. magro732 3561d 22h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
35 Temporary checkin of new synchronous RioSerial. Does not work yet.
Temporary checkin of RioLogicalCommon. Does not work yet.
magro732 3565d 04h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
34 Adding first version of logical egress. magro732 3568d 22h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd
33 Adding common logical layer module. magro732 3570d 03h /rio/branches/2.0.0-development/rtl/vhdl/RioLogicalCommon.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.