OpenCores
URL https://opencores.org/ocsvn/rio/rio/trunk

Subversion Repositories rio

[/] [rio/] [branches/] [parallelSymbols/] [rtl/] [vhdl/] [RioSerial.vhd] - Rev 26

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
26 Temporary checkin of parallelSymbols branch. It does not work yet. magro732 3728d 01h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
19 Removing synthesis warnings. magro732 3941d 14h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
18 Making RioSerial entity the same as before+minor fixes. magro732 3942d 13h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
17 Removing latch and improving timing. magro732 3943d 14h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
16 Removed FIFO between RioSerial and PCS. Transmitter works without idle ticks. Internal symbol fifo increased. Changed readContentEnd_o timing. magro732 3943d 14h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
15 All testcases are ok. Still needs some tweeks though. magro732 3947d 15h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
14 Most issues solved, testbench issues remains. magro732 3950d 14h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
13 Timeouts are working. magro732 3953d 15h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
12 Backup of recent work, debugging new RioSerial. magro732 3964d 14h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
11 Receiver ready, transmitter is compiling. magro732 3964d 14h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
10 Branch to develop support for parallel symbols. magro732 3964d 14h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
8 Adding signal descriptions in comments. magro732 4049d 15h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd
4 Adding protocol engine implementing the hardware independent parts of the LP-serial physical specification. magro732 4166d 03h /rio/branches/parallelSymbols/rtl/vhdl/RioSerial.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.