OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdCmd/] [src/] [SdCmd-Rtl-ea.vhdl] - Rev 35

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
35 SdCmd: Sending CMD0 is correct, refs #19 rkastl 4956d 08h /sdhc-sc-core/trunk/grpSd/unitSdCmd/src/SdCmd-Rtl-ea.vhdl
34 Sd: SdCmd: Sending commands implemented, but not tested. refs #16. rkastl 4956d 08h /sdhc-sc-core/trunk/grpSd/unitSdCmd/src/SdCmd-Rtl-ea.vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.