OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Documentation/] [latex/] [dir_286f1b3e5ae7a2e16b11817be53af338.tex] - Rev 6

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
6 ROM decoding table correct. Design proved on demo board. liubenoff 2732d 12h /single-14-segment-display-driver-w-decoder/trunk/Documentation/latex/dir_286f1b3e5ae7a2e16b11817be53af338.tex

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.