OpenCores
URL https://opencores.org/ocsvn/spacewire/spacewire/trunk

Subversion Repositories spacewire

[/] [spacewire/] [trunk] - Rev 27

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
27 New directory structure. root 5561d 22h /spacewire/trunk
26 JTAG chain in 'SpW interface' btltz 6954d 07h /trunk
25 a synchronous FIFO btltz 6954d 07h /trunk
24 WISHBONE compatible 'COMI/HOCI' interface btltz 6954d 07h /trunk
23 SpaceWire Router top module(verilog core) btltz 6954d 07h /trunk
22 "SpaceWire Interface" top module btltz 6954d 07h /trunk
21 registers of SpaceWire Router btltz 6954d 07h /trunk
20 registers of 'SpaceWire interface' btltz 6954d 07h /trunk
19 PMC interface to SpaceWire network btltz 6954d 07h /trunk
18 Glue logic between CODEC and COMI/HOCI btltz 6954d 07h /trunk
17 config routing table and control btltz 6954d 07h /trunk
16 rough IP specification btltz 6954d 07h /trunk
15 no message btltz 6954d 07h /trunk
14 reference doc for developer btltz 6968d 15h /trunk
13 include some pictures btltz 6968d 15h /trunk
12 a rough doc btltz 6968d 15h /trunk
11 eth_fifo Rev1.5 btltz 6968d 15h /trunk
10 tb for the "line scheduler" btltz 6968d 16h /trunk
9 tb for eth_fifo Rev1.5 btltz 6968d 16h /trunk
8 Tick counter in switch btltz 6968d 16h /trunk

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.