OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] - Rev 23

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
23 Added limits gryzor 4125d 04h /sqmusic
22 WIP gryzor 4168d 13h /sqmusic
21 Added ilegal arguments gryzor 4169d 04h /sqmusic
20 Correct attenuation for total level parameter gryzor 4171d 01h /sqmusic
19 Sine generator + pow module with basic functionality verified gryzor 4171d 05h /sqmusic
18 WIP gryzor 4171d 11h /sqmusic
17 Added real arguments gryzor 4172d 02h /sqmusic
16 Added C++ class to process command line arguments gryzor 4172d 06h /sqmusic
15 WIP gryzor 4172d 14h /sqmusic
14 WIP gryzor 4172d 22h /sqmusic
13 Mistery solved (?) gryzor 4173d 03h /sqmusic
12 Phase generator for FM synthesis gryzor 4173d 04h /sqmusic
11 FM gryzor 4173d 22h /sqmusic
10 Memos added gryzor 4173d 22h /sqmusic
9 Added --wait option gryzor 4175d 10h /sqmusic
8 Added mame files for reference gryzor 4175d 13h /sqmusic
7 Fixed const integer widths gryzor 4176d 03h /sqmusic
6 Fixed Capcom interface to work with FPGAs gryzor 4176d 04h /sqmusic
5 Added PWM to 1942 board gryzor 4176d 22h /sqmusic
4 Added PWM converter. Not proven with 1942 yet. gryzor 4178d 02h /sqmusic

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.