OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [sqm/] [sq_pg.v] - Rev 19

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
19 Sine generator + pow module with basic functionality verified gryzor 4046d 14h /sqmusic/trunk/sqm/sq_pg.v
18 WIP gryzor 4046d 20h /sqmusic/trunk/sqm/sq_pg.v
16 Added C++ class to process command line arguments gryzor 4047d 15h /sqmusic/trunk/sqm/sq_pg.v
12 Phase generator for FM synthesis gryzor 4048d 13h /sqmusic/trunk/sqm/sq_pg.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.