OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [sqm/] [sq_pg.v] - Rev 20

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
20 Correct attenuation for total level parameter gryzor 4047d 00h /sqmusic/trunk/sqm/sq_pg.v
19 Sine generator + pow module with basic functionality verified gryzor 4047d 04h /sqmusic/trunk/sqm/sq_pg.v
18 WIP gryzor 4047d 10h /sqmusic/trunk/sqm/sq_pg.v
16 Added C++ class to process command line arguments gryzor 4048d 05h /sqmusic/trunk/sqm/sq_pg.v
12 Phase generator for FM synthesis gryzor 4049d 03h /sqmusic/trunk/sqm/sq_pg.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.