OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk] - Rev 7

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
7 Fixed const integer widths gryzor 4065d 00h /sqmusic/trunk
6 Fixed Capcom interface to work with FPGAs gryzor 4065d 01h /sqmusic/trunk
5 Added PWM to 1942 board gryzor 4065d 19h /sqmusic/trunk
4 Added PWM converter. Not proven with 1942 yet. gryzor 4067d 00h /sqmusic/trunk
3 Added all files needed to play 1942's music gryzor 4068d 01h /sqmusic/trunk
2 svn test gryzor 4068d 02h /sqmusic/trunk
1 The project and the structure was created root 4068d 11h /sqmusic/trunk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.