OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [rtl/] [ring_arb.v] - Rev 21

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
21 Changed rrslow to rrmux, updated descriptions, changed
bridge mux to fast arb
ghutchis 5245d 08h /srdydrdy_lib/trunk/examples/bridge/rtl/ring_arb.v
12 Added absolute priority arbitration to ring to avoid
having two ring taps transmit at same time
ghutchis 5253d 07h /srdydrdy_lib/trunk/examples/bridge/rtl/ring_arb.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.