OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [rtl] - Rev 31

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
31 Added bridge2 files ghutchis 4429d 11h /srdydrdy_lib/trunk/examples/bridge/rtl
24 Added CRC32 checker to environment & RTL ghutchis 5073d 02h /srdydrdy_lib/trunk/examples/bridge/rtl
21 Changed rrslow to rrmux, updated descriptions, changed
bridge mux to fast arb
ghutchis 5270d 07h /srdydrdy_lib/trunk/examples/bridge/rtl
16 Changed fifo head/tail to have separate usage counters for producer and consumer
side.

Fixed bug in port_ring_tap where it jumped to non-existent state.

Changed default dump mode for icarus to lxt.
ghutchis 5271d 22h /srdydrdy_lib/trunk/examples/bridge/rtl
15 Fixed FIB lookup multicast -- multicast packets were being
repeatedly sent from lookup
ghutchis 5272d 02h /srdydrdy_lib/trunk/examples/bridge/rtl
13 Fixed FIFO Full condition for large fifo, added separate
tests to example bridge
ghutchis 5277d 06h /srdydrdy_lib/trunk/examples/bridge/rtl
12 Added absolute priority arbitration to ring to avoid
having two ring taps transmit at same time
ghutchis 5278d 06h /srdydrdy_lib/trunk/examples/bridge/rtl
11 Updated bridge example to fix a number of small bugs.
First packet now exits bridge from all ports.
ghutchis 5279d 05h /srdydrdy_lib/trunk/examples/bridge/rtl
9 Added rx_gigmac, additional debug work on concentrator & fib ghutchis 5279d 09h /srdydrdy_lib/trunk/examples/bridge/rtl
8 Added compiling version of bridge example ghutchis 5280d 22h /srdydrdy_lib/trunk/examples/bridge/rtl
5 Added new component for port ring ghutchis 5286d 02h /srdydrdy_lib/trunk/examples/bridge/rtl
4 Added example directory with basic bridge ghutchis 5286d 20h /srdydrdy_lib/trunk/examples/bridge/rtl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.