OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk] - Rev 12

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Added absolute priority arbitration to ring to avoid
having two ring taps transmit at same time
ghutchis 5327d 07h /srdydrdy_lib/trunk
11 Updated bridge example to fix a number of small bugs.
First packet now exits bridge from all ports.
ghutchis 5328d 06h /srdydrdy_lib/trunk
10 Fixed "locked" variable in rrslow ghutchis 5328d 11h /srdydrdy_lib/trunk
9 Added rx_gigmac, additional debug work on concentrator & fib ghutchis 5328d 11h /srdydrdy_lib/trunk
8 Added compiling version of bridge example ghutchis 5329d 23h /srdydrdy_lib/trunk
7 Added rrslow ghutchis 5332d 03h /srdydrdy_lib/trunk
6 Modified "B" output buffer for full-rate operation ghutchis 5334d 11h /srdydrdy_lib/trunk
5 Added new component for port ring ghutchis 5335d 03h /srdydrdy_lib/trunk
4 Added example directory with basic bridge ghutchis 5335d 22h /srdydrdy_lib/trunk
3 Added small/synchronizer FIFO, along with minimal testbench ghutchis 5336d 21h /srdydrdy_lib/trunk
2 Initial commit of directory structure and basic components ghutchis 5341d 06h /srdydrdy_lib/trunk
1 The project and the structure was created root 5348d 22h /srdydrdy_lib/trunk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.