OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_4] - Rev 166

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
166 assign default for state_s arniml 7008d 17h /t48/tags/rel_1_4
165 add component wb_master.vhd arniml 7009d 16h /t48/tags/rel_1_4
164 initial check-in arniml 7009d 16h /t48/tags/rel_1_4
163 add bug
Wrong clock applied to T0
arniml 7010d 15h /t48/tags/rel_1_4
162 Fix bug report:
"Wrong clock applied to T0"
t0_o is generated inside clock_ctrl with a separate flip-flop running
with xtal_i
arniml 7010d 15h /t48/tags/rel_1_4
161 fix syntax problem that triggers an error with GHDL 0.18 arniml 7041d 19h /t48/tags/rel_1_4
160 add others to case statement arniml 7162d 16h /t48/tags/rel_1_4
159 fix dependencies for tb_t8048_behav_c0 and tb_t8039_behav_c0 arniml 7162d 16h /t48/tags/rel_1_4
158 added hierarchies t8039_notri and t8048_notri arniml 7162d 16h /t48/tags/rel_1_4
157 removed obsolete constant arniml 7162d 16h /t48/tags/rel_1_4
156 added hierarchy t8039_notri arniml 7162d 16h /t48/tags/rel_1_4
155 initial check-in arniml 7162d 16h /t48/tags/rel_1_4
154 added t8039_notri hierarchy arniml 7162d 16h /t48/tags/rel_1_4
153 introduced generic gate_port_input_g
forces masking of P1 and P2 input bus
arniml 7163d 13h /t48/tags/rel_1_4
152 added hierarchy t8048_notri and system components package arniml 7164d 04h /t48/tags/rel_1_4
151 added hierarchy t8048_notri and components package for t48 systems arniml 7164d 04h /t48/tags/rel_1_4
150 intruduced hierarchy t8048_notri where all system functionality
except bidirectional ports is handled
arniml 7164d 12h /t48/tags/rel_1_4
149 update arniml 7164d 12h /t48/tags/rel_1_4
148 initial check-in arniml 7164d 12h /t48/tags/rel_1_4
147 initial check-in for release 0.5 BETA arniml 7200d 14h /t48/tags/rel_1_4

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.