OpenCores
URL https://opencores.org/ocsvn/tiny_aes/tiny_aes/trunk

Subversion Repositories tiny_aes

[/] [tiny_aes/] [trunk] - Rev 8

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
8 Update Xilinx ISE constraint file homer.hsing 4258d 12h /tiny_aes/trunk
7 Upload specification homer.hsing 4260d 15h /tiny_aes/trunk
6 Add AES-192. Less memory bit in lookup_table. homer.hsing 4262d 05h /tiny_aes/trunk
5 AES 256 :) homer.hsing 4263d 03h /tiny_aes/trunk
4 Add "_128" suffix to aes_128 related module to prevent name conflict homer.hsing 4263d 06h /tiny_aes/trunk
3 Add synthesis constraints, testbench simulation script homer.hsing 4264d 06h /tiny_aes/trunk
2 Release under Apache License v2.0 homer.hsing 4264d 07h /tiny_aes/trunk
1 The project and the structure was created root 4265d 04h /tiny_aes/trunk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.