OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [registerfile.vhd] - Rev 6

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
6 Reworked memory code to hopefully synthesize better earlz 4435d 17h /tinycpu/trunk/src/registerfile.vhd
5 Modified registerfile to be dual-port for both read and write earlz 4436d 05h /tinycpu/trunk/src/registerfile.vhd
3 Updated registerfile to have 2 read ports
Added super rough design document mainly just for brainstorming
earlz 4437d 05h /tinycpu/trunk/src/registerfile.vhd
2 Initial commit earlz 4437d 06h /tinycpu/trunk/src/registerfile.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.