OpenCores
URL https://opencores.org/ocsvn/uart8systemc/uart8systemc/trunk

Subversion Repositories uart8systemc

[/] [uart8systemc/] [trunk/] [work/] [sc_uart.so] - Rev 7

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
7 Adding new test suit on UART SystemC redbear 2952d 10h /uart8systemc/trunk/work/sc_uart.so
6 Minor corrections on SystemC, execute a routine to set time execution. redbear 3112d 10h /uart8systemc/trunk/work/sc_uart.so
4 Adding a IP brif. redbear 3113d 06h /uart8systemc/trunk/work/sc_uart.so
3 Adding shared object. redbear 3113d 12h /uart8systemc/trunk/work/sc_uart.so

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.