OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [serial_receiver.vhd] - Rev 14

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
14 Fixing some warnings... Adding wishbone slave leonardoaraujo.santos 4426d 04h /uart_block/trunk/hdl/iseProject/serial_receiver.vhd
12 Working on the communication blocks leonardoaraujo.santos 4426d 06h /uart_block/trunk/hdl/iseProject/serial_receiver.vhd
10 Working on the control unit part leonardoaraujo.santos 4426d 13h /uart_block/trunk/hdl/iseProject/serial_receiver.vhd
4 Working on receiver leonardoaraujo.santos 4435d 09h /uart_block/trunk/hdl/iseProject/serial_receiver.vhd
3 Deleting unused files and changing tests leonardoaraujo.santos 4435d 10h /uart_block/trunk/hdl/iseProject/serial_receiver.vhd
2 Starting here .... leonardoaraujo.santos 4435d 11h /uart_block/trunk/hdl/iseProject/serial_receiver.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.