OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testUart_wishbone_slave.vhd] - Rev 19

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
19 Working on the top wishbone slave testbench.... still need some fixes (Both on the testbench and on the uart_control.vhd) leonardoaraujo.santos 4415d 01h /uart_block/trunk/hdl/iseProject/testUart_wishbone_slave.vhd
18 sdsd leonardoaraujo.santos 4415d 08h /uart_block/trunk/hdl/iseProject/testUart_wishbone_slave.vhd
17 Working on slave testbench and fixing some bugs leonardoaraujo.santos 4415d 09h /uart_block/trunk/hdl/iseProject/testUart_wishbone_slave.vhd
16 Adding testbench for wishbone slave module leonardoaraujo.santos 4415d 09h /uart_block/trunk/hdl/iseProject/testUart_wishbone_slave.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.