OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [uart_control.vhd] - Rev 19

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
19 Working on the top wishbone slave testbench.... still need some fixes (Both on the testbench and on the uart_control.vhd) leonardoaraujo.santos 4446d 07h /uart_block/trunk/hdl/iseProject/uart_control.vhd
16 Adding testbench for wishbone slave module leonardoaraujo.santos 4446d 15h /uart_block/trunk/hdl/iseProject/uart_control.vhd
14 Fixing some warnings... Adding wishbone slave leonardoaraujo.santos 4447d 12h /uart_block/trunk/hdl/iseProject/uart_control.vhd
13 Working on uart_control testbench... also applying some fixes... leonardoaraujo.santos 4447d 13h /uart_block/trunk/hdl/iseProject/uart_control.vhd
11 Adding uart_communication_block leonardoaraujo.santos 4447d 17h /uart_block/trunk/hdl/iseProject/uart_control.vhd
10 Working on the control unit part leonardoaraujo.santos 4447d 21h /uart_block/trunk/hdl/iseProject/uart_control.vhd
9 Adding Control unit for uart block leonardoaraujo.santos 4448d 08h /uart_block/trunk/hdl/iseProject/uart_control.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.